1 | EuroSys'11 - Proceedings of the EuroSys 2011 Conference | conference and proceedings | 1.557 | 20 | 0 | 26 | 0 | 321 | 24 | 12.35 | 0.00 | |
2 | Proceedings - International Symposium on Computer Architecture | conference and proceedings | 1.944 | 133 | 59 | 141 | 2049 | 1362 | 131 | 8.02 | 34.73 | |
3 | Proceedings of the Annual International Symposium on Microarchitecture, MICRO | conference and proceedings | 1.531 | 124 | 0 | 95 | 0 | 626 | 89 | 6.68 | 0.00 | |
4 | Proceedings of the ACM SIGCOMM Internet Measurement Conference, IMC | conference and proceedings | 2.117 | 97 | 44 | 141 | 1366 | 1083 | 134 | 6.29 | 31.05 | |
5 | SOUPS 2012 - Proceedings of the 8th Symposium on Usable Privacy and Security | conference and proceedings | 1.063 | 13 | 0 | 17 | 0 | 105 | 15 | 6.18 | 0.00 | |
6 | EuroSys'12 - Proceedings of the EuroSys 2012 Conference | conference and proceedings | 1.011 | 19 | 0 | 27 | 0 | 160 | 25 | 5.93 | 0.00 | |
7 | SIGCOMM'12 - Proceedings of the ACM SIGCOMM 2012 Conference Applications, Technologies, Architectures, and Protocols for Computer Communication | conference and proceedings | 1.024 | 30 | 0 | 75 | 0 | 394 | 72 | 5.25 | 0.00 | |
8 | Digest of Technical Papers - IEEE International Solid-State Circuits Conference | conference and proceedings | 3.583 | 114 | 216 | 653 | 1231 | 3350 | 644 | 5.22 | 5.70 | |
9 | SOUPS 2011 - Proceedings of the 7th Symposium on Usable Privacy and Security | conference and proceedings | 1.168 | 12 | 0 | 17 | 0 | 88 | 15 | 5.18 | 0.00 | |
10 | MobiSys'11 - Compilation Proceedings of the 9th International Conference on Mobile Systems, Applications and Services and Co-located Workshops | conference and proceedings | 0.628 | 23 | 0 | 57 | 0 | 283 | 54 | 4.96 | 0.00 | |
11 | Proceedings - International Symposium on High-Performance Computer Architecture | conference and proceedings | 1.056 | 97 | 56 | 124 | 1938 | 674 | 117 | 4.82 | 34.61 | |
12 | MobiSys'11 - Compilation Proceedings of the 9th Int. Conf. on Mobile Systems, Applications, and Services and Co-located Workshops - 2011 Workshop on Mobile Cloud Computing and Services, MCS'11 | conference and proceedings | 1.147 | 4 | 0 | 7 | 0 | 33 | 5 | 4.71 | 0.00 | |
13 | MobiSys'11 - Compilation Proceedings of the 9th International Conference on Mobile Systems, Applications, and Services and Co-located Workshops - MobiArch 2011 Workshop, MobiArch'11 | conference and proceedings | 0.857 | 6 | 0 | 9 | 0 | 41 | 7 | 4.56 | 0.00 | |
14 | Proceedings - IEEE INFOCOM | conference and proceedings | 1.526 | 241 | 471 | 1358 | 9336 | 6155 | 1353 | 4.32 | 19.82 | |
15 | 2011 IEEE International Conference on Smart Grid Communications, SmartGridComm 2011 | conference and proceedings | 0.623 | 35 | 0 | 103 | 0 | 404 | 102 | 3.92 | 0.00 | |
16 | Digest of Technical Papers - Symposium on VLSI Technology | conference and proceedings | 2.067 | 83 | 101 | 278 | 763 | 1000 | 274 | 3.76 | 7.55 | |
17 | Embedded Systems Week 2011, ESWEEK 2011 - Proceedings of the 9th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS'11 | conference and proceedings | 0.954 | 18 | 0 | 43 | 0 | 150 | 41 | 3.49 | 0.00 | |
18 | Proceedings - Annual IEEE Symposium on Foundations of Computer Science, FOCS | conference and proceedings | 3.753 | 103 | 80 | 256 | 2324 | 959 | 250 | 3.23 | 29.05 | |
19 | 2012 IEEE International Conference on Computational Photography, ICCP 2012 | conference and proceedings | 1.073 | 13 | 0 | 15 | 0 | 48 | 14 | 3.20 | 0.00 | |
20 | Proceedings - IEEE Computer Security Foundations Symposium | conference and proceedings | 1.121 | 44 | 0 | 48 | 0 | 152 | 44 | 3.04 | 0.00 | |
21 | IEEE 15th International Conference on Advanced Robotics: New Boundaries for Robotics, ICAR 2011 | conference and proceedings | 0.142 | 1 | 0 | 1 | 0 | 3 | 1 | 3.00 | 0.00 | |
22 | Proceedings - Design Automation Conference | conference and proceedings | 0.823 | 130 | 186 | 566 | 3925 | 1679 | 561 | 2.85 | 21.10 | |
23 | Proceedings - 3rd International Workshop on Near Field Communication, NFC 2011 | conference and proceedings | 0.159 | 11 | 0 | 15 | 0 | 42 | 13 | 2.80 | 0.00 | |
24 | Proceedings - IEEE Symposium on Security and Privacy | conference and proceedings | 1.137 | 156 | 41 | 281 | 1761 | 1144 | 261 | 2.79 | 42.95 | |
25 | Proceedings of ACM Symposium on Access Control Models and Technologies, SACMAT | conference and proceedings | 0.744 | 49 | 32 | 74 | 609 | 218 | 68 | 2.77 | 19.03 | |
26 | Proceedings - IEEE International Conference on Robotics and Automation | conference and proceedings | 0.710 | 222 | 874 | 2733 | 17902 | 7131 | 2727 | 2.63 | 20.48 | |
27 | 2011 International Conference on Indoor Positioning and Indoor Navigation, IPIN 2011 | conference and proceedings | 0.224 | 20 | 0 | 50 | 0 | 131 | 49 | 2.62 | 0.00 | |
28 | Proceedings of the 4th Workshop on European Workshop on System Security, EUROSEC'11 | conference and proceedings | 0.393 | 7 | 0 | 10 | 0 | 26 | 8 | 2.60 | 0.00 | |
29 | Embedded Systems Week 2011, ESWEEK 2011 - Proceedings of the 14th International Conference on Compilers, Architectures and Synthesis for Embedded Systems, CASES'11 | conference and proceedings | 0.552 | 12 | 0 | 27 | 0 | 69 | 25 | 2.56 | 0.00 | |
30 | Proceedings - 2011 Workshop on Fault Diagnosis and Tolerance in Cryptography, FDTC 2011 | conference and proceedings | 0.365 | 9 | 0 | 14 | 0 | 35 | 12 | 2.50 | 0.00 | |
31 | IEEE PES Innovative Smart Grid Technologies Conference Europe, ISGT Europe | conference and proceedings | 0.550 | 24 | 0 | 75 | 0 | 186 | 74 | 2.48 | 0.00 | |
32 | BuildSys 2011 - Proceedings of the 3rd ACM Workshop on Embedded Sensing Systems for Energy-Efficiency in Buildings, Held in Conjunction with ACM SenSys 2011 | conference and proceedings | 0.129 | 11 | 0 | 22 | 0 | 54 | 19 | 2.45 | 0.00 | |
33 | IEEE Symposium on VLSI Circuits, Digest of Technical Papers | conference and proceedings | 2.209 | 66 | 127 | 322 | 754 | 744 | 318 | 2.40 | 5.94 | |
34 | NOCS 2011: The 5th ACM/IEEE International Symposium on Networks-on-Chip | conference and proceedings | 0.410 | 17 | 0 | 41 | 0 | 98 | 39 | 2.39 | 0.00 | |
35 | 9th IEEE International Symposium on Safety, Security, and Rescue Robotics, SSRR 2011 | conference and proceedings | 0.431 | 19 | 0 | 58 | 0 | 137 | 57 | 2.36 | 0.00 | |
36 | IEEE Computer Society Conference on Computer Vision and Pattern Recognition Workshops | conference and proceedings | 0.572 | 128 | 157 | 290 | 3273 | 679 | 288 | 2.34 | 20.85 | |
37 | IEEE Intelligent Vehicles Symposium, Proceedings | conference and proceedings | 0.632 | 93 | 263 | 581 | 4594 | 1280 | 578 | 2.33 | 17.47 | |
38 | Labyrinth and Piano Key Weirs - Proceedings of the International Conference on Labyrinth and Piano Key Weirs, PKW 2011 | conference and proceedings | 0.155 | 11 | 0 | 28 | 0 | 65 | 26 | 2.32 | 0.00 | |
39 | Proceedings of the 2012 IEEE International Symposium on Hardware-Oriented Security and Trust, HOST 2012 | conference and proceedings | 0.525 | 17 | 0 | 27 | 0 | 62 | 25 | 2.30 | 0.00 | |
40 | 2011 IEEE International Conference on RFID, RFID 2011 | conference and proceedings | 0.304 | 15 | 0 | 32 | 0 | 73 | 31 | 2.28 | 0.00 | |
41 | Proceedings - Symposium on the High Performance Interconnects, Hot Interconnects | conference and proceedings | 0.330 | 26 | 0 | 15 | 0 | 34 | 12 | 2.27 | 0.00 | |
42 | 2011 8th International Conference on Wireless On-Demand Network Systems and Services, WONS 2011 | conference and proceedings | 0.445 | 13 | 0 | 32 | 0 | 71 | 31 | 2.22 | 0.00 | |
43 | Annual ACM Symposium on Parallelism in Algorithms and Architectures | conference and proceedings | 0.953 | 54 | 30 | 141 | 622 | 310 | 135 | 2.19 | 20.73 | |
44 | Proceedings of the 11th International Conference on Generative Programming and Component Engineering, GPCE'12 | conference and proceedings | 0.473 | 7 | 0 | 17 | 0 | 37 | 15 | 2.18 | 0.00 | |
45 | PRECEDE 2011 - Workshop on Predictive Control of Electrical Drives and Power Electronics | conference and proceedings | 0.579 | 8 | 0 | 14 | 0 | 30 | 13 | 2.14 | 0.00 | |
46 | Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH 2011 | conference and proceedings | 0.547 | 12 | 0 | 33 | 0 | 70 | 32 | 2.12 | 0.00 | |
47 | IEEE International Symposium on Precision Clock Synchronization for Measurement, Control, and Communication, ISPCS | conference and proceedings | 0.537 | 15 | 23 | 25 | 304 | 52 | 22 | 2.08 | 13.22 | |
48 | Proceedings - 2011 International Conference on Body Sensor Networks, BSN 2011 | conference and proceedings | 0.185 | 17 | 0 | 40 | 0 | 82 | 37 | 2.05 | 0.00 | |
49 | HiPEAC'11 - Proceedings of the 6th International Conference on High Performance and Embedded Architectures and Compilers | conference and proceedings | 0.315 | 10 | 0 | 23 | 0 | 47 | 20 | 2.04 | 0.00 | |
50 | Proceedings - International Symposium on Wearable Computers, ISWC | conference and proceedings | 0.308 | 59 | 0 | 90 | 0 | 166 | 79 | 2.02 | 0.00 | |